공부할 것

2017. 9. 27. 21:08 from 공부/반도체

CMOS

FinFET

MOSFET


p,n접합 다이오드 트랜지스터

액츄에이터


CV,LSV


NOR NAND Flash , DRAM , SRAM , AP

SLC MLC TLC 


source gate drain

'공부 > 반도체' 카테고리의 다른 글

일함수  (0) 2017.10.29
화학용어집  (0) 2017.10.29
FPGA  (0) 2017.09.27
반도체기사[AMAT,TEL 합병 2015년]  (0) 2017.09.27
반도체기사 [미세공정. EUV,QPT]  (0) 2017.09.25
Posted by 만l타 :

FPGA

2017. 9. 27. 20:42 from 공부/반도체

FPGA(field programmable gate array, 필드 프로그래머블 게이트 어레이)는 설계 가능 논리 소자와 프로그래밍가능 내부선이 포함된 반도체 소자이다. 설계 가능 논리 소자는 ANDORXORNOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다. 대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.

프로그램이 가능한 내부선 계층구조는 FPGA의 논리블록을 시스템 설계자가 요구하는 대로 단일 칩 프로그래밍가능 빵판처럼 내부연결을 할 수 있다. 이 논리블록과 내부선은 제조공정 이후에 소비자/설계자가 프로그램할 수 있으므로 요구되는 어떠한 논리기능도 수행할 수 있다.(그러한 이유로 "현장 프로그래머블")

FPGA는 일반적으로 주문형 반도체(ASIC) 대용품보다 느리고, 복잡한 설계에 적용할 수 없으며, 소비전력이 크다. 그러나 개발시간이 짧고, 오류를 현장에서 재수정할 수 있고, 초기 개발비가 저렴하다는 장점이 있다. 제조사는 설계 이후에 수정할 수 없도록 할당된 덜 유연한 FPGA 버전으로 싸게 팔 수 있다. 이런 설계개발은 일반적인 FPGA에서 만들었고 좀 더 ASIC와 비슷한 고정된 버전으로 변경되었다. CPLD는 비슷한 역할을 할 수 있는 소자이다.


https://ko.wikipedia.org/wiki/FPGA

'공부 > 반도체' 카테고리의 다른 글

화학용어집  (0) 2017.10.29
공부할 것  (0) 2017.09.27
반도체기사[AMAT,TEL 합병 2015년]  (0) 2017.09.27
반도체기사 [미세공정. EUV,QPT]  (0) 2017.09.25
반도체기사 [모어 댄 무어]  (0) 2017.09.25
Posted by 만l타 :

 어플라이드머티어리얼즈와 도쿄일렉트론의 합병이 계속 미뤄지고 있다. 양사는 세계 반도체 장비 시장 1, 3위 업체다. 두 회사의 합병이 이뤄진다면 장비 시장은 물론 전방 산업계에도 큰 영향을 미칠 것으로 전문가들은 관측하고 있다. 경쟁사들은 끼워팔기, 부분품 독점 구매, 특허권 남용 등 장비 시장 전반의 경쟁을 심각하게 저해할 것이라며 양사 합병을 반대하고 있는 상황이다. 양사는 과연 주요 각국의 규제당국으로부터 합병 승인을 받아낼 수 있을까. 

글 한주엽 기자 powerusr@insightsemicon.com

2013년 9월 세계 반도체 업계를 뒤흔드는 소식이 전해졌다. 미국의 반도체 장비 업체 어플라이드머티어리얼즈(AMAT)와 일본 도쿄일렉트론(TEL)의 경영통합, 즉 합병 발표였다. 양사는 표면적으로는 ‘동등 조건의 합병’이라고 발표했지만, 사실상 AMAT가 TEL을 93억9000만달러(약 10조원)에 인수하는 것이 골자였다. 양사는 합병후 네덜란드에 지주회사를 설립키로 하고 이 회사의 주식 68%를 AMAT가, 나머지 32%는 TEL이 가져가는 그림을 그렸다.

AMAT와 TEL은 매출액 기준 각각 세계 반도체 장비 시장 1위와 3위의 지위를 확보하고 있는 업체다. 전문가들은 양사가 합병하면 세계 반도체 장비 업계의 지각 변동은 물론 주요 소자 업체들의 시설투자 정책에도 적잖은 변화가 생길 것으로 예상했다.

한국을 포함한 대만, 미국, 중국, 일본의 규제 당국은 AMAT와 TEL의 기업결합 신청서가 접수된 지 1년 가까이 지난 지금까지도 합병 승인을 내지 않고 있다. 반독점 우려 때문이다. 합병을 승인한 국가는 싱가포르와 독일 뿐이다. 각국의 경쟁 장비 업체들은 양사가 우월적 시장점유율을 활용해 끼워팔기를 통한 경쟁 배제, 장비 부분품 조달 봉쇄, 특허권 남용 등의 우려가 있다며 양사 합병을 반대하고 있다. 장비를 구매하는 소자 업체들 역시 이번 합병에 대해 간접적 반대 의사를 표시하고 있는 것으로 전해진다. 이들은 노광(Lithography) 및 측정(Measurement)·검사(Inspection) 장비 시장에서 독점적 지위를 확보하고 있는 ASML과 KLA-텐코의 사례(장비 가격 상승, 지연손해금 청구 배제 등)를 거론하며 AMAT와 TEL의 합병이 이뤄져선 안된다는 주장을 펼치고 있다.

이처럼 합병 승인이 늦어지면서 AMAT와 TEL을 통합할 네덜란드 지주회사 ‘에테리스(Eteris)’의 출범도 미뤄지고 있다.

반도체 생산공정의 이해

반도체 생산공정은 크게 전공정(前工程)과 후공정(後工程)으로 나뉜다. 얇은 원형판 모양의 실리콘 웨이퍼(Wafer)에 회로를 새기는 과정이 전공정, 회로가 새겨진 웨이퍼를 잘라 각각의 칩(Die)으로 나누고 금속줄 등을 연결(Bonding)해 독립 반도체 소자로 만드는 과정을 후공정이라 한다. 핵심은 웨이퍼를 가공하는 전공정이다. 소자업체가 반도체 라인를 건설할 때 전체 장비 투자액 가운데 70%가 전공정 장비 구매에 할당된다.

전공정은 다시 포토(Photo), 증착(Deposition), 세정(Clean), 식각(Etch), 화학적기계적연마(Chemical mechanical polishing, CMP), 확산(Diffusion), 이온주입(Ion implantation)으로 나눌 수 있다.

포토 공정이란 마스크에 새겨진 회로 패턴을 실리콘 기판으로 전사시키는 전 과정을 의미한다. 구체적으로는 감광액(Photoresist)을 웨이퍼 표면 위에 골고루 도포한 뒤 광원을 쏘아 마스크에 새겨진 패턴을 웨이퍼 위에 형성하는 노광(Lithography) 공정 등이 있다.

증착은 웨이퍼 위로 매우 얇은 막을 얹는 기술이다. 방식에 따라 물리기상증착(Physical vapor deposition, PVD)과 화학기상증착(Chemical vapor Deposition, CVD)으로 나뉜다. CVD는 각종 가스 재료를 열 혹은 광분해 등의 화학반응을 이용해 기판 표면에 박막을 형성한다. CVD는 가장 많이 사용되는 증착 방식이다. PVD는 재료 대상에 물리적인 힘(열, 전자 운동 에너지 등)을 가해 웨이퍼 표면에 박막을 증착시키는 방식이다. 대표적인 PVD 방식으로는 스퍼터링(Sputtering)이 있다. 스퍼터링은 진공 상태에서 이온화된 양이온 아르곤(Ar+) 가스를 타겟(재료)에 강하게 충돌시켜 이 에너지에 의해 미세화된 재료 물질이 웨이퍼에 증착되는 방식이다. CVD와 PVD 외에도 에피택시(Epitaxy), 도포성막(Spin on dielectric, SOD), 전기화학증착(Eletrochemical deposition, ECD) 등의 증착 방식이 있다. 에피택시는 실리콘 웨이퍼 위에 동일한 단결정 층을 형성시키는 공정이다. SOD는 액체 상태의 절연물을 웨이퍼 위에 코팅한 뒤 열처리 과정을 거쳐 평탄화된 절연물을 증착하는 기술이다. ECD의 경우 전기 회로의 배선을 형성하는 전기화학 증착 방식을 의미한다.

세정은 웨이퍼 가공 중 발생하는 다양한 오염물을 없애는 공정이다. 세정 공정은 크게 화학물질을 이용한 습식과 건식, 증기식이 있다. 과거 반도체 업체들은 대부분 화학물질을 이용한 습식 세정 공정을 주로 사용했으나 환경 및 과도한 원가 상승 문제 등으로 최근에는 건식과 증기식을 주로 활용하고 있다. 세정과 비슷한 공정으로는 애싱(Ashing)이 있다. 애싱은 노광 작업을 위해 도포된 감광막을 식각 후 제거해주는 공정이다. 

식각은 노광으로 새겨진 회로 패턴 또는 증착 공정으로 얹어진 박막을 화학적 혹은 물리적 반응을 통해 깎아내는 공정을 의미한다. 건식(Dry) 식각 장비가 주류다. 건식 식각 장비는 크게 일반적인 실리콘웨이퍼 식각 장비와 에치백(Etch back)으로 나뉜다. 실리콘 웨이퍼 식각 장비는 다시 폴리실리콘 식각, 실리콘 디옥사이드(Dioxide) 식각, 금속(Metal) 식각으로 분류된다. 에치백은 포토 공정 없이도 증착 막질의 식각 선택비 차이를 활용해 원하는 형태의 패턴을 형성할 수 있는 공정 장비다. 아주 미세한 패턴을 구현하긴 어렵지만 생산성이 높은 것이 장점이다.

CMP는 웨이퍼 표면을 패드에 압착하고 이 사이로 산화물 혹은 금속 계열 연마제인 슬러리(Slurry, 고체 액체 혼합물)를 흘려준 뒤 패드를 고속 회전시키는 화학적기계적 연마를 통해 산화 절연막이나 금속 배선을 평탄화하는 공정이다.

확산은 실리콘 웨이퍼에 불순물 원자를 도핑하고 원하는 만큼 불순물을 이동시키는 공정을 의미한다. 확산 공정은 구체적으로 산화막 공정, 열처리 공정으로 나뉜다. 산화막 확산 공정은 전기적 특성이 우수한 얇은 막질을 균일하게 형성할 수 있다. 열처리 공정은 불순물이 주입된 막질이 있는 웨이퍼를 고온의 확산로에서 가열하는 과정을 의미한다. 이를 통해 불순물이 웨이러 내부로 확산되거나 휘발성 물질을 제거할 수 있다.

이온주입은 불순물을 생성시킨 후 일정한 에너지로 가속, 웨이퍼에 균일하게 주입하는 공정이다. 이온주입을 통해 트랜지스터의 전기적 특성도 조절할 수 있다. 

각 공정 장비 시장 규모와 점유율

시장조사업체 가트너에 따르면 2012년 연간 기준 증착 공정 장비 시장 규모는 60억100만달러에 이른다. 증착 공정 장비 시장은 다시 CVD, 스퍼터링, 에피택시, ECD, SOD로 나뉜다. CVD 시장 규모는 30억500만달러, 스퍼터링은 16억240만달러, 에피택시는 5억8900만달러, ECD는 2억1400만달러, SOD는 9100만달러 규모다.

건식 식각 장비 시장 규모는 38억8500만달러였다. 건식 식각 장비 가운데 실리콘 웨이퍼 식각용 장비 시장 규모는 37억8100만달러, 기타 식각 장비 시장의 규모는 7400만달러다. 이외에도 세정장비 25억1200만달러, 감광액 처리 장비 16억1800만달러, 이온주입 장비 10억3330만달러, 확산 장비 9억700만달러, CMP 장비가 7억8300만달러 수준의 시장 규모를 형성하고 있다.

노광을 포함한 주요 17개 공정 장비 시장에서 AMAT와 TEL이 참여하지 않는 시장은 4개에 불과하다. AMAT는 증착(CVD, 스퍼터링, 에피택시, ECD), 식각(실리콘 웨이퍼 식각, 에치백, 기타 식각), CMP, 확산, 이온주입 등 10개 장비 시장에 참여하고 있다. 이 가운데 CVD, 스퍼터링, 에피택시, 에치백, CMP, 확산, 이온주입 장비 시장에서 점유율 1위를 차지하고 있다.

TEL은 감광액 처리, 증착(CVD, SOD, ECD), 세정, 식각(실리콘 웨이퍼 식각, 에치백), 확산 장비 시장에 참여하고 있다. TEL은 감광액 처리 장비 시장에서 1위를, SOD, CVD, 세정, 실리콘 웨이퍼 식각, 확산 장비 시장에서 2위를 차지하고 있다. TEL을 합병하면 AMAT는 기존에는 보유하지 않고 있던 감광액 처리 및 세정 장비 시장에 진출할 수 있게 된다. CVD 시장에선 57%에 육박하는 점유율로 2위 업체(램리서치, 17%)와의 격차가 3배 이상이 된다. 확산 장비 시장에서도 64%의 점유율로 우월적 지위를 확보할 것이라는 관측이다. 에치백 장비 시장의 경우 현재 AMAT와 TEL이 시장을 양분하고 있으므로 사실상 독점 체제를 구축하게 될 것으로 보인다. 이외 실리콘 웨이퍼 식각 장비 시장에서 양사는 40%의 점유율을 확보, 해당 시장 1위 기업인 램리서치(46%)를 압박할 수 있게 될 것이라는 관측이다.

합병 후 예상 시나리오

이처럼 대부분의 전공정 장비를 아우르는 거대 업체가 탄생할 경우 해당 업계의 경쟁 구도는 물론 장비를 사 가는 전방 산업계에도 큰 변화가 생길 것이라는 예상이다. 우선 예상되는 시나리오는 ‘끼워팔기’다. AMAT와 TEL은 노광을 포함한 17개 세부 반도체 전공정 장비 가운데 13개 시장에 참여하고 있으므로 가격할인, 납기준수 등을 조건으로 다양한 장비를 일괄 구매할 것을 전방 산업계에 제안할 수 있다. 이럴 경우 국내외의 소규모 경쟁 장비 업체들은 타격을 받을 수 밖에 없다. 실제 측정 및 검사 장비 시장에서 독점적 지위를 확보하고 있는 KLA-텐코의 경우 자사의 다양한 장비를 일괄 구매하지 않는 고객사에게는 납기일이 미뤄질 수 있다고 ‘배짱’을 부리는 것으로 전해진다.

AMAT와 TEL이 연구개발(R&D)을 통해 독자적인 표준 규격을 제정할 가능성도 배제하지 않을 수 없다. 각기 다른 웨이퍼 가공 장비는 단일 자동화 시스템에 통합, 운용되므로 각 장비간의 호환성이 중요하다. 지금은 국제반도체장비재료협회(SEMI)를 통해 각 장비간 연결성에 관한 표준이 제정되고 있지만 AMAT와 TEL의 합병 회사가 독자적인 표준을 제정한다면 이 역시 경쟁사의 시장 진입을 억제하는 요인으로 작용할 수 있다. 합병 회사가 히터와 챔버, 펌프 등 장비 부분품(부품)을 독점 구매할 경우에도 경쟁사 영업에 커다란 부정적 영향을 미칠 수 있다. 방대한 특허로 경쟁사의 신규 시장 진입을 막을 가능성도 배제하지 않을 수 없다. TEL은 1만6000건, AMAT는 1만500건의 특허를 보유하고 있다. 과거 오스트리아의 세정 장비 전문 업체였던 세즈(Sez)는 세메스(삼성전자 자회사)가 해당 시장에 진입하려 하자 자사 특허를 침해했다며 소송을 낸 바 있다(결과는 세메스의 승리). 이런 식의 특허 소송이 남용될 수 있다는 것이 업계 종사자들의 우려다. 

AMAT와 TEL은 합병 발표 당시 “2017년 통합 회사의 매출액은 182억달러, 영업이익 46억달러, 영업이익률 25%를 목표로 잡았다”고 밝힌 바 있다. 이는 2012년 양사 매출액 합계(약 100억달러) 대비 두 배 가까이 성장하겠다는 것이다. 업계 관계자는 “양사가 얘기하는 ‘합병 후 시너지 효과’는 국내 중소규모 장비 업체들에게는 재앙으로 다가올 것”이라고 말했다. 이용한 원익 회장은 지난해 8월 열린 ‘한국 반도체·디스플레이 산업 현안점검 간담회’에서 “AMAT와 TEL의 합병은 마치 메모리 분야에서 삼성전자와 SK하이닉스가 합치는 것과 마찬가지”라며 “국내 장비 업체에 엄청난 타격이 올 것으로 예상하고 있다”라고 말했다.

이에 대해 송상민 공정거래위원회 기업결합과장은 “심사를 진행 중인 사안에 대한 별도 언급은 내부 규정상 엄격히 금지돼 있다”고 말했다. 송 과장은 그러나 “AMAT와 TEL의 합병 심사는 다른 기업들의 그것과 비교해 상당히 지연되고 있는 것은 사실이다”라고 말했다. 그 만큼 고심을 하고 있다는 의미다. 그는 “글로벌 인수합병 사례의 경우 타국의 규제당국과 세밀한 논의를 거치므로 승인, 조건부 승인 혹은 불승인 발표가 비슷한 시기에 나올 가능성이 높다”고 말했다.

 

'공부 > 반도체' 카테고리의 다른 글

공부할 것  (0) 2017.09.27
FPGA  (0) 2017.09.27
반도체기사 [미세공정. EUV,QPT]  (0) 2017.09.25
반도체기사 [모어 댄 무어]  (0) 2017.09.25
반도체기사 [ALD]  (0) 2017.09.25
Posted by 만l타 :
반도체 미세공정 주도권 전쟁: EUV와 QPT
2015-07-15 박승언 미국 실리콘밸리무역관

 

반도체 미세공정 주도권 전쟁: EUV와 QPT

 

 

 

지난 9일 IBM이 이른바 ‘차차세대’ 반도체 칩 생산기술인 7㎚ 반도체 생산공정에 성공한 데 이어 삼성, 인텔, TSMC도 10㎚ 반도체 양산을 준비 중임. 미세공정 경쟁의 핵심요소가 될 노광기술(EUV와 QPT)에 대한 관심이 높아지는 가운데 한국 기업들은 이에 준비하고 발전 방향에 대해 모색해봐야 함.

 

□ 개관 - 노광공정의 중요성

 

 ○ 반도체 제조공정

  - 반도체 칩 제조를 위해서는 다음의 8대 공정을 거쳐야 함.

 

순서

내용

웨이퍼

실리콘을 이용해 만드는, 반도체 제조의 핵심 재료

산화공정

부도체인 실리콘이 반도체가 되도록 하는 과정

노광공정

광원을 이용하여 웨이퍼에 회로를 형성

식각공정

부식작용을 이용한 불필요 부분 제거

박막공정

반도체에 전기적 특성을 부여

금속배선공정

전기를 통하게 하는 작업

EDS

반도체 수율을 높이기 위한 과정

패키징

연결 확인 및 불량 유무 검사

 

 ○ 이들 중, 생산기술 발전에 핵심인 과정은 노광공정(또는 포토공정)

  - 전체 공정 소요시간 중 약 60%가 노광공정에 할애됨.

  - 또한, 생산원가의 35%를 차지하는 중요한 공정

  - 따라서, 노광공정에서의 원가절감 및 공정 단순화가 업계의 목표

 

□ 노광공정의 현황 및 두 가지 최신 기술

 

 ○ 노광공정 기술개발 관련 이슈

  - 인텔의 첫 마이크로프로세서부터 현재의 반도체 칩으로 오기까지 반도체 공정 단위는 획기적인 속도로 줄어듦.

  - 1971년 인텔 최초의 마이크로프로세서인 4004는 공정단위가 10μm(마이크로미터)이었으나, 이번 달 9일 IBM은 이를 7㎚(나노미터)까지 줄임.

   · 1μm: 0.01㎜, 1㎚: 0.001μm

     

 ○ 이러한 발전 속에서 노광공정의 해답은, 광원의 파장을 줄여 미세한 회로패턴을 형성하는 기술을 발전시키는 것

  - 그동안 광원의 파장은 436㎚(G-Line)에서 지속적으로 줄어듦.

  - 현재 상용화된 기술은, 193㎚ 광원 파장의 이머전(I㎜ersion, 액침) 불화아르곤(ArF) 장비를 통해 노광공정을 반복하는 멀티패터닝 기술

     

 ○ 업계에서는 장기적으로 10㎚ 이하 공정에서는 멀티패터닝 기술이 한계에 다다를 것이라 판단, 대안 기술인 EUV 도입 시도 중

  - 유일하게 삼성전자는, 멀티패터닝 기술의 현재형인 쿼드러플패터닝기술(QPT)을 더욱 발전시켜 10㎜ 이하 반도체 공정에 성공할 것이라 판단

     

□ EUV(Extreme Ultra Violet, 극자외선)

 

 ○ 멀티패터닝의 대체기술로 제안되고 있는, 13.5㎚ 파장의 극자외선을 이용하는 기술

  - 현재 EUV 장비 제작 기술을 가지고 있는 업체는 네덜란드 ASML이 유일

   · 삼성전자, 인텔, TSMC 등이 지분투자 등을 통해 공동개발

 

리소그래피 장기 로드맵

자료원: ITRS, IBK 투자증권

    

 ○ 장점

  - 짧은 파장으로 해상도 극대화

  - 적은 횟수의 패터닝으로 구현 가능하기 때문에 공정 수 대폭 감소

 

EUV 기술의 공정 감소

자료원: ASML

 

 ○ 단점

  - EUV 장비의 가격은 기존 ArF 장비의 약 2배 가격

   · 기존 ArF 장비: 7000만 달러, EUV 장비: 1억5000만 달러

  - 대부분의 물질, 심지어 산소나 이산화탄소에도 흡수되는 성질이 있기 때문에, 진공 상태에서 반사형 박막거울을 사용해야 함. 하지만, 박막거울은 최대 반사 효율이 70%에 불과

  - 현재 기술로는 광원 출력이 부족해, 웨이퍼 처리량이 부족함. 하루당 웨이퍼 처리량이 2500장 이상 돼야 함.

     

□ QPT(Quadraple Patterning Tech)

     

 ○ 기존의 DPT(Double Patterning Tech)를 반복하는 방식

  - 첫 번째 DPT에서 회로 형성 후, 두 번째 공정에서 회로 사이에 또 다른 패턴을 추가

 

QPT 기술 설명

자료원: Monolithic3d

 

 ○ 인텔, 삼성전자, TSMC 등의 반도체가 지금까지 사용해온 기술

   

 ○ 장점

  - 기존의 ArF 장비를 이용해 구현 가능

  - 현재 기술로는 EUV 방식에 비해 생산효율이 좋음.

     

 ○ 단점

  - 10㎚ 이하의 공정에서는 불가능할 것이라 판단. 공정이 복잡해져 원가 상승을 초래할 것이라 예상

  - 공정의 미세화를 위한 대체 광원이 없음. 엑스레이나 E빔 등이 있었으나 부적합 판단

 

□ 발전 방향 및 시사점

 

 ○ 현황

  - EUV의 경우, 인텔과 TSMC는 ASML로부터 직접 장비를 사들여 제품 설계 및 구조 수정을 통한 웨이퍼 처리량 증가를 천명한 상태

  - 특히 인텔은 ASML 장비 15대를 2조 원을 들여 구입할 예정

 

ASML EUV 장비

 

자료원: ASML

 

 ○ 삼성전자는 현행 양산 기술인 14나노 반도체 공정 비중을 늘리고, QPT기술을 더 발전시켜 10나노 이하 공정에 도전 중

  - 이외에도 NIL(NanoImprint Lithography), DAS(Directed Self-Assembly) 등의 다양한 노광기술이 등장했지만, 아직 EUV나 QPT를 완벽히 대체하지는 못하는 수준     

 

□ 시사점

     

 ○ 현재 업계 트렌드는 장기적으로 EUV로의 대체이지만, 삼성전자가 기존의 기술을 이용해 어느 정도 수준의 공정까지 이끌어낼 수 있는지가 관건

  - 삼성전자가 공정 단순화와 원가 절감의 두 마리 토끼를 모두 잡을 경우, 장비 구입비용만 따져도 한 대당 약 7000만 달러를 절감할 수 있음.

     

 ○ 서브10나노(10㎚ 이하 공정)의 시대가 열리게 된 만큼, 어떤 기술이 주도하게 될 것인지 시장 동향을 살펴보는 것이 중요

     

 

자료원: San Jose Mercury News, 삼성전자 자료, SK Hynix 자료, ASML 발표자료, KOTRA 실리콘밸리 무역관 자료 종합



http://news.kotra.or.kr/user/globalAllBbs/kotranews/album/2/globalBbsDataAllView.do?dataIdx=143917&column=title&search=&searchAreaCd=&searchNationCd=101001&searchTradeCd=&searchStartDate=&searchEndDate=&searchCategoryIdxs=&searchIndustryCateIdx=&page=80&row=10


'공부 > 반도체' 카테고리의 다른 글

FPGA  (0) 2017.09.27
반도체기사[AMAT,TEL 합병 2015년]  (0) 2017.09.27
반도체기사 [모어 댄 무어]  (0) 2017.09.25
반도체기사 [ALD]  (0) 2017.09.25
반도체기사 [노광,EUV,NIL,DSA]  (0) 2017.09.24
Posted by 만l타 :

‘모어 댄 무어’…반도체, 재료의 시대가 왔다

2016.08.19 07:53:33
  • 프린트

‘모어 댄 무어(More than Moore)’, 말 그대로 인텔 창업자 고든 무어의 ‘무어의 법칙’ 이후에 전개될 새로운 시대의 법칙을 말한다. 무어의 법칙은 쉽게 말해 알려진 대로 반도체 성능이 2년마다 두 배 늘어난다는 것을 말하지만, 최근에는 미세공정의 한계로 사실상 폐기수순을 밟았다. 이 법칙을 따르고 지켜야할 인텔이 2년 주기를 3년으로 바꿨기 때문이다. 앞으로의 미세공정은 얼마나 효율적으로 경제성을 유지하면서 성능을 높일 수 있느냐가 관건이고 ‘재료’의 역할이 핵심적으로 부각되고 있다.

사실 무어의 법칙을 억지로 유지하는 것은 가능하다. 문제는 역시 ‘돈’이다. 마이크로프로세서가 등장한 이후 반도체는 빠른 속도로 발전했지만 이에 못지않게 연구개발(R&D) 비용이 늘어났다. 인텔에 따르면 현재의 공정으로 10년 동안 반도체를 생산할 경우 2700억달러(약 328조원)이 필요하다고 보고 있다. 물론 새로운 공정을 개발하고 무어의 법칙에 따라 칩의 크기를 줄이면 R&D를 포함해 1160억달러(약 140조원)의 비용으로 줄어든다고 설명하고 있지만 만만치 않은 금액이다.

반도체 칩 원가의 기준은 웨이퍼 제조 원가를 트랜지스터의 수로 환산한 CPT(Cost Per Transistor)이다. CPT가 낮아지면 기존과 같은 규모의 칩을 보다 저렴하게 만들 수 있다. 웨이퍼의 비용 상승 이상으로 트랜지스터의 밀도를 높여 CPT를 떨어뜨려야 한다. 14나노 공정 이후의 CPT는 이전 세대보다 더 수치가 낮다. 트랜지스터 당 제조비용의 상승이 칩의 수익성을 보장할 수 없으므로 무어의 법칙이 적용되기 어렵다. 손익분기점의 기준은 CPT가 86%까지 올라갔을 경우인데 앞으로 7나노 공정까지는 유지할 수 있다는 게 인텔의 주장이다.

따라서 현재 미세공정의 한계를 벗어나기 위해서는 단순히 노광 장비의 성능 개선뿐 아니라 증착, 식각, 세정에서도 원활한 지원을 필요로 한다. 더불어 패키지 구성과 패터닝 소재도 중요한 이슈 가운데 하나다. 자세히 들여다보면 재료의 역할이 필수적이다. 노광 장비가 더 미세하게 회로를 그릴 수 있는 해상력(解像力, resolution)을 높이기 위해서는 ‘노광원 파장(λ)’, ‘공정변수(K1)’, ‘렌즈 수차(numerical aperture, NA)’의 세 가지 방법이 있다. 적어도 지금까지는 미세공정 개선이 어려웠을 때마다 구원투수처럼 등장한 기술 덕분에 그럭저럭 만족스러운 결과를 얻었다. 예컨대 새로운 렌즈를 장착하거나, 더블 혹은 쿼드패터닝을 사용하거나, 빛 파장이 13.5nm에 불과한 극자외선(EUV) 노광기를 도입하거나, 화학적 패턴 형성 방식인 DSA(Directed Self-Assembly)를 이용하거나 하는 것이 몇 가지 방법 가운데 하나로 꼽힌다.

하지만 NA는 새로운 재료의 부재로 더 이상 도입하기 어렵고 K1은 원가상승의 부담, λ의 경우 광원의 출력부족과 낮은 효율성 등이 여전히 발목을 잡고 있다. 노광 장비에서 i-라인(365nm)에서 불화크립톤(KrF, 248nm)으로 바뀔 때 화학증폭형(CAR) 재료가 도입됐고, KrF에서 불화아르곤(ArF, 193nm)으로의 진화에서는 메타크릴산염 고분자가 힘을 보탰다는 점을 종합적으로 고려하면 ArF에서 EUV로의 전환에서도 재료는 여전히 핵심적인 역할을 할 것으로 기대를 모으고 있다.

재료의 양과 종류가 더 많고 다양해져
반도체를 비롯해 반도체 조립 및 테스트, 디스플레이, 태양광(PV), 발광다이오드(LED) 등 관련 산업의 재료 시장 규모는 연간 1153억달러(약 133조5000억원)에 달한다. 이 가운데 디스플레이(390억달러)가 가장 시장규모가 크고 반도체 조립 및 테스트(250억달러), 반도체(22억달러) 순이다. 반도체에서는 웨이퍼, 반도체 조립 및 테스트에서는 플라스틱 원판이 가장 비중이 크다. 조금 더 살피면 재료 시장의 트렌드를 엿볼 수 있다.

2015년을 기준으로 웨이퍼 팹에서 재료에서 가장 큰 시장규모를 가진 분야는 노광이다. 이 추세는 2020년까지 꾸준하다. 흥미로운 점은 20% 이상의 연평균성장률(CAGR)을 기록하고 있는 원자층증착(Atomic Layer Deposition, ALD)과 노광 가스다. 화학기상증착법(Chemical Vapor Deposition, CVD)도 13%의 CAGR로 높은 수치를 나타냈다. 노광 재료가 9%라는 점을 감안했을 때 상대적으로 더 많은 재료가 투입된다는 것을 알 수 있다. 이 두 가지 공정이 향후 새로운 반도체를 만드는데 있어 가장 핵심적으로 재료가 사용될 것이라는 것을 의미한다.

물리기상증착(physical vapor deposition, PVD)에서는 어떨까. 구리(Cu)는 기존 반도체의 배선 재료였던 알루미늄(Al)을 완벽하게 대체했으며 첨단 반도체 분야에선 이제 일반적 기술이 됐다. 회로 선폭이 보다 미세해지면서 구리 배선을 위한 질화티타늄(TiN)을 박막으로 증착시키는데, 기존 TiN 하드마스크 PVD 시스템으로는 10나노 이하 노드에선 구리 배선 공정을 수행하는 것이 어렵다. 챔버 내에서 아르곤(Ar)을 플라즈마 상태로 만들고 밀도를 더 높이는 방법이 주로 쓰인다. 그만큼 Ar이 더 많이 주입된다는 얘기다.

적용되는 재료의 양뿐 아니라 종류의 변화에도 주목해야 한다. 1970년대에는 Al이나 인(P), 비소(As) 등이 주로 쓰이다가 2000년대에는 몰리브덴(Mo), 티타늄(Ti), 게르마늄(Ge), 코발트(Co), 하프늄(Hf), 탄탈럼(Ta)을 비롯해 희토류인 세륨(Ce)까지 접목됐다. 최신 14나노 핀펫 반도체에는 Ta, 질화탄탈럼(TaN)이나 구리망간(CuMn), 루테늄(Ru), 그리고 Co, 니켈실리사이드(NiSi)가 필수다.

복잡성 늘어난 패키지의 핵심
패키지에서도 재료의 역할이 강조되고 있다. 대표적으로 ‘패키지 온 패키지(Package on Package, PoP)’와 ‘실리콘관통전극(Through Silicon Via, TSV)’, ‘팬아웃웨이퍼레벨패키지(Fan Out Wafer Level Package, FOWLP)’와 같은 어드밴스드 패키징을 꼽을 수 있다. 미세공정으로 반도체 성능을 높이기 어려우니 적층구조로 극복하겠다는 것이 핵심이다. 삼성전자만 하더라도 ‘팬아웃패널레벨패키지(Fan Out Panel Level Package, FOPLP)’에서 12인치 웨이퍼로 멀티다이, 그러니까 여러 개의 칩을 하나의 다이 위에 집적할 수 있도록 했다. 두께도 올해 2분기 0.9mm에서 4분기 0.8mm로 더 얇게 만들 계획이다. 얇아진 두께만큼 발열에 대비해 ‘TIM(Thermal Interface Material)’을 커패시터와 PCB 사이에 장착했다.

당연하지만 적층구조의 패키지가 대중화될수록 그만큼 더 많은 재료가 쓰여야 하고 안정성 확보를 위해서도 마찬가지다. 덕분에 반도체 시장이 2014년부터 2019년까지 CAGR가 2.7%를 기록할 때 어드밴스드 패키징의 경우 7.2%로 두 배 이상의 수치를 나타낼 것으로 예상된다. 자세하게는 FOWLP가 2020년까지 55%, 2.3D나 3D 칩은 25%의 고성장을 바라보고 있다. 전체 어드밴스드 패키징 시장규모는 같은 기간 동안 84% CAGR와 함께 금액의 경우 180억달러(약 20조8000억원)를 기록할 것으로 보인다.

이 외에도 소재가 더 많이 사용될 공정으로는 DSA가 있다. EUV 노광 장비 도입이 여의치 않은 상태에서 분자의 자기 조립(Self-Assembly) 현상에 기반을 두고 있는 DSA와의 공조가 필수적이기 때문이다. 성질이 다른 두 고분자를 하나의 분자로 합성한 ‘블록(Block) 공-중합체(共-重合體, copolymer)’ 재료를 웨이퍼상에 도포, 가열하면 미세한 패턴을 얻을 수 있다.

과거에는 명확한 로드맵에 따라 패터닝 소재를 개발했지만 앞으로는 이런 부분을 기대하기 어렵다. 미래 패터닝 소재는 EUV 성공을 위한 고감도 감광재료(Photo-Resist Chemistry, PR)와 산화코발트(CoO)향 신소재 개발이 동시에 이뤄지고 있는데 혼자만의 힘으로는 시간과 비용이 얼마나 투입될지 가늠키 힘들다는 점을 분명히 인지할 필요가 있다. 재료가 바뀔 때마다 특성에 알맞은 공정이 필수적이어서다. 예컨대 ‘화학적 기계적 평탄화 후공정(포스트-CMP)’ 공정만 하더라도 첨단 반도체 제품군에 새로운 다양한 물질이 도입되고 있고, 그로 인해 CMP 공정에서 사용하는 슬러리 조성에도 많은 변화가 생겼다.

CMP 공정은 화학적 슬러리 제제를 사용한 기계적 연마를 통해 전극배선 형성 및 유전막을 평탄화하는 단계다. 포스트-CMP 클리닝에서는 CMP 공정에서 발생한 나노 입자 등의 오염원을 제거해 잠재적 웨이퍼 결함을 최소화한다. 기존 포스트-CMP 세정액(린스)으로는 효율적인 세정에 한계가 있어 반도체 제조사로부터 새로운 세정액의 요구가 높아지고 있는 상황이다.


'공부 > 반도체' 카테고리의 다른 글

반도체기사[AMAT,TEL 합병 2015년]  (0) 2017.09.27
반도체기사 [미세공정. EUV,QPT]  (0) 2017.09.25
반도체기사 [ALD]  (0) 2017.09.25
반도체기사 [노광,EUV,NIL,DSA]  (0) 2017.09.24
반도체 블로그  (0) 2017.09.24
Posted by 만l타 :

반도체기사 [ALD]

2017. 9. 25. 00:17 from 공부/반도체

반도체 장비·소재 10나노 이하로 `세대교체` 바람

글자 작게글자 크게인쇄하기
반도체 장비 재료전시회 ‘세미콘코리아 2016’이 27일 서울 삼성동 코엑스에서 열렸다. 관람객이 ‘웨이퍼 링-프레임 반송 로봇’을 보고 있다. 김동욱기자 gphoto@etnews.com
<반도체 장비 재료전시회 ‘세미콘코리아 2016’이 27일 서울 삼성동 코엑스에서 열렸다. 관람객이 ‘웨이퍼 링-프레임 반송 로봇’을 보고 있다. 김동욱기자 gphoto@etnews.com>

10나노 이하 차세대 반도체 시장을 겨냥한 장비와 소재가 잇따라 첫선을 보였다. 반도체 장비와 재료시장 주력 제품도 미세공정 중심으로 재편될 전망이다. 원가절감 기술도 업계 화두로 떠올랐다. 

27일 서울 코엑스에서 개막한 종합 반도체 전시회 ‘세미콘코리아 2016’에는 세계 20개국 540여개 장비·소재 업체가 참가해 신기술을 뽐냈다. 

노광 장비 1위 업체 ASML은 세미콘코리아 기술심포지엄에서 자사 차세대 극자외선(EUV:Extreme Ultra Violet) 노광 장비가 2018년 최신 반도체 양산 라인에 도입돼 7나노 칩 생산에 힘을 보탤 것으로 기대했다. ASML은 올해 일 처리량 1500장 달성을 목표로 잡았다고 밝히며 공정 속도는 점진적 개선되고 있다고 강조했다. 빛 파장이 13.5nm에 불과한 EUV 장비는 10나노 미만 회로 선폭을 한 번의 노광으로 해결할 수 있다. 생산 원가를 크게 낮출 수 있을 전망이다. 

원자층 단위로 매우 얇은 막을 균일하게 입힐 수 있는 원자층증착(ALD:Atomic Layer Deposition) 장비도 대세로 떠올랐다. 최근 반도체 업계는 선폭 미세화에 따라 ALD 활용을 늘리는 추세다. 유진테크는 플라즈마를 활용해 박막을 원자층 단위로 증착하는 피닉스(Phoenix)를 선보였다. 차세대 패터닝, 3D 낸드플래시 메모리 생산라인 적용을 노린다. 주성엔지니어링은 플라즈마가 아닌 써멀(열) 증착 방식으로 80℃ 이하 극저온에서 공정 작업 수행이 가능한 공자전 원자층 증착시스템(SDP R2:Space Divided Plasma R2)을 공개했다. 플라즈마 대신 열 방식으로 저온 공정을 가능케 해 웨이퍼에 가해질 수 있는 플라즈마 충격, 파티클 문제를 해소했다. 웨이퍼를 놓는 포켓과 이들 포켓이 얹히는 메인 디스크를 동시에 회전하게 해 박막 균일도를 높인 것도 특이다. 

건식진공펌프 전문업체 에드워드코리아는 효율적 에너지 펌핑 구조로 기존 제품 대비 소비전력을 43%나 절감한 iMX1200을 선보였다. 전력 소비를 낮춰 공장 총 유지비용을 절감할 수 있다. 

소재 업체 머크는 차세대 리소그래피로 각광받는 DSA(Directed Self-Assembly) 소재 기술을 선보였다. DSA는 성질이 다른 두 고분자가 스스로 조립(Self-Assembly)되는 현상에 기반을 둔 새로운 패터닝 기술이다. DSA 재료를 웨이퍼상에 도포, 가열하면 미세 패턴을 얻을 수 있다. 업계에선 EUV와 DSA를 병행 사용하면 패터닝 공정 단순화로 원가를 낮출 수 있을 것으로 전망했다. 

인테그리스는 화학기계적연마(CMP:Chemical Mechanical Polishing) 공정 후 발생한 나노 입자 오염원을 제거하는 플래너클린 AG 클리닝 액체 소재를 출시했다. 플래너클린 AG는 10나노 미만 반도체 금속 소재로 각광받는 코발트와 텅스텐 대응력을 추가하면서도 물 희석 비율을 높여 원가 절감에 기여한다. 

슈퍼 엔지니어링플라스틱(EP) 소재 가운데 폴리아릴에테르케톤(PEEK;Polyether ether ketone) 원천 기술을 보유한 빅트렉스는 세미콘코리아 전시회에 참가해 자사 PEEK 소재로 제작된 CMP 고정 링을 선보였다. PEEK는 폴리페닐렌설파이드(방송채널사용사업자 (PP : Program Provider): 지상파방송사업자·종합유선방송사업자·위성방송사업자 등과 특정 채널의 전부나 일부 시간을 쓰기로 계약을 하고 그 채널을 사용하는 사업자상세보기▶PPS;Poly Phenylene Sulfide) 대비 기계적 강도가 높다. PEEK로 제작된 CMP 고정 링은 PPS 소재 기반 제품 대비 오래 쓸 수 있다. 소포품 교체 주기가 길어진다는 의미다. 빅트렉스는 대형 고객사가 자사 소재로 개발된 CMP 고정 링을 도입함으로써 연간 200만달러 비용을 절감했다고 강조했다.




출처 http://www.etnews.com/20160127000336


Posted by 만l타 :

[전자부품 전문 미디어 인사이트세미콘]

반도체 공정 미세화의 핵심은 실리콘 웨이퍼에 회로 패턴을 형성하는 리소그래피(Lithography)다.

현재 모든 반도체 생산 업체들은 포토 리소그래피(Photo Lithography)라 부르는 노광(露光) 공정을 활용하고 있다. 노광은 설계 레이아웃이 새겨진 마스크(Mask) 혹은 레티클(Reticle) 유리 원판 위로 광원을 쏴 감광액(Photo Resist)이 도포돼 있는 웨이퍼에 회로 패턴을 형성하는 공정이다. 이는 마치 필름 사진을 현상하는 과정과 흡사하다. 노광 장비의 성능은 광원의 파장으로 결정된다. 파장이 짧으면 빛의 회절(回折) 현상을 줄여 보다 미세한 회로 패턴을 웨이퍼 위에 형성할 수 있다. 그간 노광 장비 업계는 빛 파장을 줄인 노광기를 단계적으로(436→405→365→248→193nm) 선보여왔다. 현재 양산 라인에서 주로 활용되는 고사양 노광기는 이머전(Immersion, 액침) 불화아르곤(ArF) 장비다. 이 장비는 193nm 빛 파장을 갖는 ArF 기술에 액침 기법을 더해 해상력을 높인 것이 특징이다. 액침 기법이란 웨이퍼와 노광기 사이에 물을 넣어 빛 굴절률을 높이는 기술을 의미한다. 

다만 이머전 ArF 노광 장비로 형성할 수 있는 물리적 회로 선폭 한계치는 38나노에 그친다. 주요 반도체 생산 업체들은 이머전 ArF 노광기로 회로 패턴을 두 번 혹은 세 번에 걸쳐 형성하는 더블패터닝 기술을 도입해 20나노 이하까지 선폭을 좁혀왔다. 그러나 이런 멀티 패터닝 공정을 도입하면 생산 시간이 길어지고 증착 및 식각 등 도입 장비 수도 늘려야 한다. 이럴 경우 공간을 더 잡아먹으므로 생산 라인의 총 생산 용량이 줄어드는 부정적 효과가 크다.

노광 분야에서 이머전 ArF 장비를 대체할 수 있는 기술은 현재 개발이 이뤄지고 있다. 바로 극자외선(Extreme Ultra Violet, EUV) 기술이다. 노광 장비를 만드는 업체는 네덜란드의 ASML과 일본의 캐논, 니콘이 있지만 EUV 장비를 개발하고 있는 곳은 ASML이 유일하다. EUV 노광 장비는 빛 파장이 13.5nm로 짧아 회로 선폭이 10나노 미만인 반도체 생산도 가능하다. 그간 문제점으로 지적돼 왔던 광원 에너지 부족 현상도 조금씩 해결돼 가고 있는 모양새다. IBM은 지난 7월 ASML의 EUV 노광 장비인 NXE3300B를 활용해 44와트(W) 광원으로 시간당 34장, 하루 637장의 웨이퍼를 처리하는 데 성공했다고 밝힌 바 있다. ASML에 따르면 IBM 외 한 곳의 고객사가 같은 장비로 라인을 가동 중이다. ASML은 자사의 테스트 라인에선 80W의 광원 출력을 달성했다고 밝히고 있다. 다만 목표치인 250W에 도달하려면 아직 갈 길이 멀다. 김영선 ASML코리아 사장은 “하루 500장 이상의 웨이퍼를 처리할 수 있다는 건 가장 큰 기술적 난제를 해결했다는 증거”라며 “핵심 문제를 풀었기 때문에 일 웨이퍼 처리량을 1000장, 1500장 이상으로 높이는 데에는 긴 시간이 걸리지 않을 것으로 보고 있다”고 말했다.

차세대 리소그래피 기술, NIL과 DSA에 주목 

EUV 노광 외 주목받는 차세대 리소그래피 기술로는 나노임프린트 리소그래피(NanoImprint Lithography, NIL), DSA(Directed Self-Assembly)가 있다. NIL은 2003년 국제반도체기술로드맵(International Technology Roadmap for Semiconductor, ITRS)에서 32나노 이하의 선폭을 실현 할 수 있는 새로운 방법론으로 소개된 기술이다. 나노 패턴이 각인된 스탬프를 사용해 마치 도장을 찍듯 실리콘 웨이퍼 위에 나노 패턴을 전사하는 것이 NIL 공정 방식의 골자다. 액체인 자외선(UV) 감광액을 실리콘 기판 위에 코팅한 후 투명한 스탬프를 접촉시키고 압력을 가하면 스탬프 사이로 패턴이 형성된다. 이후 광원을 투사해 패턴을 고체화 시킬 수 있다. 저렴한 UV를 광원으로 활용하고 렌즈를 사용하지 않는 덕에 기존 ArF 이미전 및 EUV 노광 장비를 사용하는 것 보다 경제적이다. 

NIL 장비를 만드는 대표적 업체로는 EVG그룹과 캐논이 있다. 캐논은 과거 전통적 노광 장비 분야에서 일정한 점유율을 갖고 있었으나 ArF 세대에선 제품 개발에 실패해 사실상 시장에서 퇴출됐었다. 극자외선(EUV) 노광 기술 역시 상용화가 어려울 것이라고 판단, 연구개발(R&D)을 포기했다. 그러나 NIL 기술은 과거 5~6년간 꾸준하게 R&D를 진행해왔다. 작년 2월에는 NIL 관련 유망 벤처인 몰티큘러 임프린트(Molecular Imprints)를 인수했다. 이 회사는 세계 노광 장비 1위 업체인 ASML도 눈독을 들였던 것으로 전해지고 있다. 캐논과 몰티큘러 임프린트는 그간 도시바와 함께 NIL의 적용 방안을 놓고 협력 관계를 이어왔다. 도시바는 최근 SK하이닉스와 NIL 기술을 공동 개발하는 계약을 체결한 바 있다. 즉, 캐논의 나노임프린트 장비가 SK하이닉스로도 공급될 수 있다는 의미다. 캐논 측은 ArF 이머전 장비로 4회(쿼드)의 패터닝을 진행하는 경우라면 전체적인 제조 비용은 NIL이 더 낮다고 강조하고 있다. 다만 NIL은 EUV와 비교해 패턴 형성의 자유도가 떨어지기 때문에 일정한 패턴을 유지하는 낸드플래시 메모리 생산에 우선 적용될 것이라고 전문가들은 예상하고 있다.

DSA는 화학적 패턴 형성 방식이다. 성질이 다른 두 고분자를 하나의 분자로 합성한 ‘블록(Block) 공-중합체(共-重合體, copolymer)’ 재료를 웨이퍼상에 도포, 가열해 미세한 패턴을 얻을 수 있다. DSA는 분자의 자기 조립(Self-Assembly) 현상에 기반을 두고 있다. 현재 산업계와 학계에서 활발한 R&D가 이뤄지고 있으며 미국 다우케미칼, 독일 머크(AZ일렉트로닉머티리얼즈)가 관련 기술을 선보이고 있다. DSA 역시 NIL과 마찬가지로 기존 노광 기술과 병행 활용될 것으로 전문가들은 보고 있다. 

정은승 삼성전자 반도체연구소장(부사장)은 “멀티 패터닝 공정 도입으로 인한 원가 상승 문제를 해결하기 위해 NIL과 DSA 등 3~4가지의 솔루션을 개발하고 있다”고 밝혔다. 김명수 SK하이닉스 미래기술연구원 소속 연구위원은 작년 4월 한국반도체산업협회 주관으로 열린 반도체 공정포럼 세미나에서 “DSA 기술을 활용하면 ArF 이머전 장비로 쿼드 패터닝을 할 때보다 공정 스탭수를 상당 부분 줄일 수 있다”고 말한 바 있다.


출처 http://www.ddaily.co.kr/news/article.html?no=128593



다 하나도 몰라서 모두다 빨간 글자가 되길래 그냥 나둠.... 공부하자


'공부 > 반도체' 카테고리의 다른 글

반도체기사 [모어 댄 무어]  (0) 2017.09.25
반도체기사 [ALD]  (0) 2017.09.25
반도체 블로그  (0) 2017.09.24
반도체기사 [메모리,파운더리,시스템반도체]  (0) 2017.09.24
반도체기사[3D V-NAND]  (0) 2017.09.24
Posted by 만l타 :

반도체 블로그

2017. 9. 24. 23:39 from 공부/반도체

http://gamma0burst.tistory.com/category/%EB%B0%98%EB%8F%84%EC%B2%B4%20%EA%B0%95%EC%A2%8C



http://blog.naver.com/seo0511/220457634400



http://blog.naver.com/dia830/220256815443




http://blog.naver.com/paval777 반도체 블로그



http://blog.naver.com/kgsshow1994/140172476088




http://blog.naver.com/seoduddl/50085285295

'공부 > 반도체' 카테고리의 다른 글

반도체기사 [ALD]  (0) 2017.09.25
반도체기사 [노광,EUV,NIL,DSA]  (0) 2017.09.24
반도체기사 [메모리,파운더리,시스템반도체]  (0) 2017.09.24
반도체기사[3D V-NAND]  (0) 2017.09.24
꼭 읽기  (0) 2017.09.07
Posted by 만l타 :

메모리 반도체를 앞세워 ‘수퍼 사이클’(장기호황)에 올라탄 국내 반도체 업체들이 시스템 반도체에서 또 한번의 도약을 준비하고 있다. 메모리 반도체가 데이터를 ‘저장’하는 장치라면 시스템반도체(비메모리반도체)는 데이터의 ‘처리’를 담당한다. 메모리의 대표 주자가 D램·낸드플래시라면, 시스템반도체의 대표 주자로는 모바일 기기의 ‘뇌’에 해당하는 어플리케이션프로세서(AP), ‘눈’에 해당하는 이미지센서(CIS) 등이 있다.
 

4차 산업혁명 활용 재도약 준비
SK하이닉스 , 별도 자회사 설립
파운드리 전문 ‘시스템IC’ 출범
삼성은 전문인력 1000명 채용 나서

SK하이닉스는 10일 충북 청주 본사에서 파운드리 전문회사 ‘SK하이닉스 시스템IC’의 출범식을 열었다. 사업 부문을 떼내 별도의 자회사를 만든 것이다. 초대 사장은 김준호 SK하이닉스 경영지원총괄 사장이 맡았다. SK하이닉스 측은 “소품종을 대량 생산하는 메모리 사업과 달리 시스템반도체는 다품종을 소량 생산해야 하기 때문에 독자 경영이 필요하다고 판단해 분사하게 됐다”고 설명했다. SK하이닉스는 임직원 1300여 명을 파운드리 전문회사 소속으로 보직 변경하고, 신규 및 경력사원 채용도 준비하고 있다.
 
삼성전자나 SK하이닉스처럼 반도체의 설계부터 생산까지 모두 맡는 회사를 종합반도체(IDM) 회사라 하고, 파운드리는 주로 위탁 주문만 전문으로 생산하는 업종을 말한다. 파운드리에 주문이 들어오는 제품이 대부분 비메모리인 시스템반도체이다 보니 업계에서는 파운드리와 시스템반도체 용어를 혼용해 사용한다. 신설된 SK하이닉스 시스템IC는 생산 공장 없이 반도체 설계만 전문으로 하는 업체인 ‘팹리스’ 고객을 확보해 시스템반도체 생산량을 늘리겠다고 나선 것이다.
 
파운드리 시장은 지름 200mm 짜리 웨이퍼에서 시스템반도체를 만드느냐, 300mm짜리에서 만드냐에 따라 기술 수준이 나뉘는데 SK하이닉스 시스템IC는 200mm 파운드리 시장에서 고객층을 넓힌 뒤 기술 난이도가 높은 분야로 진출할 계획이다. 현재 파운드리 분야 1위인 대만의 TSMC가 대부분의 주문품을 300mm 웨이퍼에서 생산한다. 글로벌 4위인 삼성전자도 일부 제품은 300mm에서 생산하고 있다. 김준호 사장은 이날 출범식에서 “짧은 시간 안에 200mm 파운드리 업계에서 최고 경쟁력을 갖춘 회사로 키우겠다”고 말했다. 지난해 SK하이닉스의 글로벌 파운드리 시장 점유율은 0.3%로 톱10에 들지 못했다.

 
삼성전자는 한발 앞서 지난 5월 시스템LSI 사업부를 팹리스와 파운드리 사업부로 분리하는 조직개편을 실시했다. 그전까지는 파운드리가 시스템LSI 사업부 내에 팀 단위로 존재했지만 이를 별도의 사업부로 독립시킨 것이다. 삼성전자 신현수 과장은 “설계(팹리스)와 생산(파운드리)을 분리해 각자의 경쟁력으로 고객사를 확보하자는게 조직 개편의 취지”라고 설명했다. 삼성전자는 D램과 낸드에서 각각 점유율 45%과 38%로 세계 1위를 차지하고 있지만 파운드리 분야에서는 5.4%로 4위에 그치고 있다. 삼성전자는 내부적으로 파운드리 시장 점유율 54%로 세계 1위인 대만의 TSMC를 따라잡겠다는 목표를 세운 것으로 알려졌다. 이를 위해 내년까지 시스템 반도체 전문가를 1000명 이상 대거 영입할 계획이다. 삼성전자 관계자는 “국내는 물론 해외인재 유치에도 적극 나설 것”이라고 설명했다.
 

DA 300


국내 반도체 업체들이 시스템 반도체에 공을 들이는 이유는 4차 산업혁명 시대를 맞아 시장이 폭발적으로 커질 가능성이 높아서다. 한국반도체산업협회 류세은 홍보전문위원은 “4차 산업혁명시대에는 정보를 저장·기억하는 메모리반도체 보다 사물을 인지하고, 연산·제어·처리능력 갖춘 시스템반도체의 가치가 더 강조될 것”이라며 “자율주행, 사물인터넷, 인공지능(AI), 로봇에까지 시스템반도체의 수요가 크게 늘어날 것”이라고 전망했다.
 
비메모리와 메모리의 시장 규모는 지난해의 경우 2.5대 1이었다. 시장조사업체 아이서플라이에 따르면 지난해 글로벌 시스템반도체 시장규모는 2082억 달러, 메모리는 822억 달러였다. 시장조사업체 IC인사이츠에 따르면 시스템 반도체 시장에서 제외되는 순수 파운드리 시장의 매출액은 지난해 500억달러(약 56조원)로 1년새 11%나 증가했다. 순수 파운드리가 바로 삼성전자나 SK하이닉스가 위탁 생산을 위해 뛰어드는 시장이다. 업계에선 순수 파운드리 시장이 2020년까지 792억 달러(약 88조원)로 성장할 것으로 전망한다. 수퍼사이클에 올라 타 급성장하고 있는 지금의 메모리 반도체와 유사한 규모다.

[출처: 중앙일보] 메모리 석권한 한국, 이젠 시스템 반도체 본격 공략



출처 http://news.joins.com/article/21745115

'공부 > 반도체' 카테고리의 다른 글

반도체기사 [노광,EUV,NIL,DSA]  (0) 2017.09.24
반도체 블로그  (0) 2017.09.24
반도체기사[3D V-NAND]  (0) 2017.09.24
꼭 읽기  (0) 2017.09.07
반도체 Foundry 파운드리  (0) 2017.09.07
Posted by 만l타 :

반도체 전문가의 예언, "인텔은 지고, 삼성전자 뜬다"

머니투데이 임동욱 기자 2017.05.18 17:03

SEMI 컨퍼런스, "초미세 공정 위한 소재개발 힘써야"..빅데이터 시대 '메모리 중요성' 커져

반도체 전문가의 예언, "인텔은 지고, 삼성전자 뜬다" 조현대 한국SEMI 대표가 18일 서울 삼성동 코엑스에서 열린 반도체전자재료 기술컨퍼런스 'SMC Korea'에서 발언하고 있다. /사진제공=한국SEMI
10나노 이하 반도체 미세공정 경쟁이 본격화되는 가운데, 기존 기술의 한계를 넘기 위한 업계의 도전이 계속되고 있다. 

국제반도체장비재료협회(SEMI)는 18일 서울 삼성동 코엑스에서 반도체전자재료 기술컨퍼런스 'SMC(Strategic Materials Conference) Korea'를 개최했다. 

'반도체 소재의 미래'를 주제로 열린 이날 컨퍼런스는 약 220명의 업계 관계자가 참석한 가운데 △차세대 메모리를 위한 반도체 소재의 도전 과제 △소재 시장 전망 △주요 공정 재료 기술 △미래 반도체 전망 등을 심도 있게 다뤘다. 

기조연설에 나선 도쿄 일렉트론의 강호영 박사는 "리소그래피(노광, 반도체회로를 웨이퍼 위에 입히는 기술)의 스케일링(미세화)이 어려워지고 있는데, 미세화를 하면서 경제성을 유지하는 좋은 방법은 3D 구조"라며 "3D로 가지 않으면 5나노 이하 스케일링은 어렵다"고 진단했다. 

강 박사는 "웨이퍼를 여러 층 쌓아올리는 과정에서 얼라이먼트(정렬) 정확성을 확보하기 어렵다"며 "이에 적합한 소재 개발에 힘써야 한다"고 말했다. 

최근 각광받는 3D 낸드 소재의 기술적 한계에 대한 지적도 나왔다. 

홍성현 램 리서치(Lam Research) 코리아 전무는 "수직적으로 쌓아 올리는 3D 낸드는 고집적화가 진행되면서 머지않아 높이의 한계에 도달할 수 있다"며 "적층 구조를 조화롭게 최적화시키지 않을 경우 웨이퍼가 휘어지는 문제가 발생할 수도 있다"고 밝혔다. 

홍 전무는 "3D 낸드 공정에서 빈 공간을 텅스텐 등 메탈 소재로 채우는 '메탈 필'을 하게 되는데, 후속 열처리공정에서 특성이 저하되는 문제점 등은 극복해야 할 도전 과제"라며 "기존 증착 방식 및 소재의 한계를 넘어서야 한다"고 강조했다. 

2013~2015년 삼성전자 반도체 로드맵 위원회 멤버였던 최정식 레이크 머티리얼즈 부사장도 "(미세화 공정이) 10나노 이하로 들어가려면 모든 소재를 바꿔야 한다"고 주장했다. 

초미세 공정을 위한 EUV(극자외선 Extreme Ultraviolet) 노광 기술도 주요 이슈로 다뤄졌다. EUV는 13.5나노미터(nm)의 극자외선을 이용해 웨이퍼 위에 회로를 그리는 노광 기술로, 상용화시 집적 회로의 밀도를 2배 이상 높일 수 있다. 

조현대 한국SEMI 대표는 "지난해까지만 해도 EUV 방식을 놓고 논란이 있었지만, 이제는 (업계에서) EUV 방식으로 가는 것이 확정돼 관심이 높아진 상황"이라고 말했다. 

삼성전자는 최근 컨퍼런스콜에서 2018년 초 시스템LSI 반도체를 7나노 공정으로 초도생산하고 2019년 본격 양산키로 하고, 이 과정에서 EUV를 최대한 활용해 공정 경쟁력을 극대화할 방침임을 밝힌 바 있다. 

미래 반도체 전망에 대해 황철성 서울대 교수는 "데이터의 양이 폭발적으로 증가하는 '빅데이터'를 다루기 위해서는 에너지를 엄청나게 더 쓰게 된다"며 "이대로 계속 데이터의 양이 증가하면 발전소가 1000억개 생겨야 한다는 황당한 계산도 나오는데, 결국은 (엄청난 양의 연산을 위해 필요한) 메모리가 중요해진다는 이야기"라고 말했다. 

황 교수는 "앞으로 반도체 시장에서 메모리 비중이 커지면서 인텔은 어려워지는 반면 삼성전자(2,650,000원 10000 +0.4%)는 더 잘될 것 같다"며 "D램과 낸드플래시가 좌우하는 메모리 시장 구조는 앞으로도 지속될 것"이라고 내다봤다. 




출처http://m.mt.co.kr/renew/view.html?no=2017051816424978478&MVRN



'공부 > 반도체' 카테고리의 다른 글

반도체기사 [노광,EUV,NIL,DSA]  (0) 2017.09.24
반도체 블로그  (0) 2017.09.24
반도체기사 [메모리,파운더리,시스템반도체]  (0) 2017.09.24
꼭 읽기  (0) 2017.09.07
반도체 Foundry 파운드리  (0) 2017.09.07
Posted by 만l타 :